Verilog Инструкцию

Verilog Инструкцию

Verilog Инструкцию 8,9/10 8803reviews

Пошаговая инструкция: создаем проект Quartus IIПодробности. Категория: Разное. Создано 3. 0 Июнь 2.

Автор: Николай Ковач. Просмотров: 1. 09. В этой статье я расскажу, как создать новый проект в среде Altera Quartus II, как его откомпилировать и как прошить плату Марсоход. В предыдущей статье . Мы изучили простое понятие провод (wire) и регистр (reg).

Описание синхронной логики в поведенческом коде Verilog очень похоже на. Здравствуйте. Спасибо большое за инструкцию и наводку на документацию.

Verilog  Инструкцию
  1. В предыдущей статье "Введение в Verilog, урок первый" я писал о простых "программах" на языке Verilog. Мы изучили простое понятие .
  2. Можно ли добавить в микропроцессор инструкции (команды)?
Verilog  ИнструкциюVerilog  Инструкцию

Я хочу сделать совсем простой проект - соединить проводами кнопочки и светодиоды на плате Марсоход. Чтоб было не совсем скучно, добавим совсем простую логику в наш проект - две логические функции И и ИЛИ. Соединить проводами - это не значит, что мы будем что- то паять. Мы напишем . Запускаем среду разработки Altera Quartus II Web Edition и выбираем пункт меню File/New Project Wizard. Этот диалог в несколько простых шагов поможет нам сделать наш первый проект. Сейчас нажмем кнопку Next - и пойдем дальше.

Verilog, Verilog HDL (англ. Verilog Hardware Description Language) — это язык описания. Существует подмножество инструкций языка Verilog, называемое синтезируемым. Модули, которые написаны на этом подмножестве, .

Выберите себе любую пустую папку. Я назвал наш проект lesson. Шаг 4. Сейчас можно добавить в новый проект какие- то существующие файлы, модули, может быть из других проектов.

Verilog  Инструкцию

Поскольку это наш первый проект, то пропускаем этот шаг. Нажимаем Next. Шаг 5. Вот здесь пожалуйста внимательнее.

Нужно выбрать тип микросхемы CPLD или FPGA , для которой будет делаться проект. Конечно этот шаг тоже можно пропустить и сделать это назначение позже. Я предлагаю делать выбор сейчас.

На плате Марсоход стоит микросхема ПЛИС (CPLD) EPM2. T1. 00. C5. Вот ее и выбираем.

После того, как выбрали - нажимаем Next. Шаг 6. Здесь можно выбрать дополнительные программные инструменты, например, для симуляции проекта, мы пропускаем этот шаг. Нажимаем кнопку Finish. Наш проект создан. Теперь нужно добавить в него файлы, описывающие логику проекта.

Шаг 7. Заходим в меню File/New и видим вот такой диалог. Здесь нам нужно решить какой именно файл мы собираемся создать, какого типа. Вы можете выбрать Block diagram/Schematic file - тогда логику проекта будем рисовать в виде схемы. Запустится специальный графический редактор и можно будет вставить в проект разные логические элементы, триггера, регистры, счетчики, сумматоры, мультиплексоры и много еще чего. Потом все эти элементы соединяются проводками - они буквально . Очень удобно. Я расскажу об этом как нибудь в другой раз.

Сейчас в этом диалоге мы можем выбрать создание файла на языках описания аппаратуры: AHDL, VHDL, Verilog и других. Я выбираю Verilog - пункт списка Verilog HDL File. Шаг 8. Вот наш новый файл создан! Здесь мы будем набирать текст нашей программы.

Шаг 9. Вот я набрал текст программы и теперь нужно его сохранить в каком нибудь файле. По умолчанию сейчас имя файла Verilog. Шаг 1. 0. Выбираем пункт меню File/Save As. Шаг 1. 1. Вот появился диалог позволяющий задать имя записываемого файла. Я предпочитаю давать имена файлов по названию модулей, описанных в них. Конечно это не обязательно, вы можете выбрать себе любое другое имя файла.

Сейчас я хочу задать имя файла test. Вы можете видеть наше имя файла на закладке.

Шаг 1. 3. Вот здесь пожалуйста внимательней. Сейчас мы скажем среде программирования Quartus II,  что этот наш текущий файл test. Попробую объяснить. Проект всегда включает в себя самый главный модуль, который может включать в себя многие другие модули в других файлах. Сейчас мы явно скажем, кто у нас тут самый главный .

Заходим в меню Project/Set As Top Level Entity. Все - готово. Шаг 1. Пожалуй можно пробовать компилировать наш проект. Видите кнопочку треугольничек на панели инструментов?

Ну или можно зайти в меню Processing/Start Compilation. Пожалуй перед компиляцией сделаем еще одну очень важную настройку нашего проекта. Шаг 1. 5. Выберем пункт меню Assignments/Device. Здесь можно задать многие параметры компиляции проекта, связанные конкретно с чипом. Шаг 1. 6. Появился вот такой диалог - на нем куча всяких настроек. Например можно выбрать тип микросхемы, для которой будет делаться компиляция проекта. Мы уже выбрали микросхему раньше.

Сейчас можете проверить - должно быть EPM2. T1. 00. C5. Нас же сейчас интересует кнопка Device and Pin Options. Нажмем ее. Шаг 1. Появился еще более страшный диалог. Не пугайтесь. Выбираем закладку Unused Pins. Шаг 1. 8. Сейчас нам нужно сделать очень важную настройку.

Мы должны сказать компилятору, что делать с неиспользуемыми ножками микросхемы. В написанной нами программе всего 4 входа подключенных к кнопочкам, и два выхода, подключенных к светодиодам. Итого наш проект будет использовать 6 контактов микросхемы ПЛИС. А у нее, например всего 8.

Компилятор подключит 6 контактов, а что делать с остальными? По умолчанию все неиспользуемые контакты микросхемы подключаются к нулю по питанию. Конечно все зависит от печатной платы, куда впаяна микросхема. Если на плате, например ошибочно, неиспользуемый контакт микросхемы подключен к питанию платы, то произойдет короткое замыкание. Не очень приятно. Что нибудь может сгореть на плате. Поэтому я всегда устанавливаю эту настройку.

Я ставлю As input tri- stated. Это обозначает, что неиспользуемые входы будут входами с высоким входным сопротивлением. Это обезопасит микросхему и плату. Шаг 1. 9. Вот теперь компилируем! Шаг 2. 0. Мы видим, что проект успешно откомпилирован! Тем не менее, это еще не все.

Пожалуйста обратите внимание на Warnings - предупреждения, а особенно на Critical Warnings - критические предупреждения. Я вообще, всегда рекомендую внимательно читать предупреждения компилятора. Конечно, хорошо бы знать английский язык, но я думаю вы довольно быстро освоитесь. Посмотрим, что же нам выдал компилятор? Шаг 2. 1. Читаем: .

На самом деле компилятор выполнил свою работу, но расположил контакты как попало, как ему было удобно. Так дело не пойдет. У нас уже есть плата Марсоход и кнопочки присоединены к конкретным ножкам микросхемы. Светодиоды так же подключены на плате. Точное расположение контактов нужно смотреть на схеме нашей платы  или в нашем документе . Нам нужно сказать компилятору куда подключать какую ногу микросхемы. Решебник По Биологии Пономарева 6 Класс.

Шаг 2. 2. Выберем пункт меню Assignments/Assignment Editor. Шаг 2. 3. В открывшемся окне делаем нужные нам назначения согласно схеме нашей платы. В колонке To вписываем имя входа или выхода. В колонке Assignment Name выбираем из списка нужную нам настройку Location.

В колонке Value вписываем номер контакта микросхемы - его смотрим в PIN OUT файле или на схеме платы. Шаг 2. 4. Кроме назначения местоположения всех контактов нашего проекта нужно сделать еще одну важную настройку.

Наши кнопочки подключены одним контактом к нашей ПЛИС, а вторым контактом к нулевому проводу (к земле). Таким образом, при нажатии кнопки, контакт микросхемы ПЛИС будет подключен к земле.

А вот что будет, когда кнопка не нажата? Вход микросхемы будет болтаться неподсоединенный и это конечно плохо. Вход микросхемы нужно . Можно было бы припаять такой резистор снаружи чипа - но не нужно.

Микросхема ПЛИС позволяет по вашему желанию подключить внутренний резистор к любому входу. Именно это мы и сделаем. Мы назначаем всем входам проекта с именем key* настройку .

Для этого запускаем программу программатора. Выберите пункт меню Tools/Programmer. Шаг 2. 6. Вот так выглядит окно программатора на экране.

Видите в левом верхнем углу . Подключите программатор к вашему ПК. Если у вас Usb. Blaster, то нужно будет установить драйвера к нему. Они находятся в папке C: /Altera/9. Quartus/Drivers. Если у вас Byte. Blaster (в том числе сделанный самостоятельно), то драйвера не нужны. Теперь нажмите кнопку Hardware Setup.

Шаг 2. 7. В открывшемся диалоговом окне выберите тип программатора, который у вас установлен. Шаг 2. 8. Теперь нужно проставить нужные . Строка CFM имеет отношение к собственно программированию ПЛИС.

Verilog Инструкцию
© 2017